Model { Name "OrdinaryVehicle" Version 8.2 MdlSubVersion 0 SavedCharacterEncoding "windows-1252" GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.26" NumModelReferences 0 NumTestPointedSignals 0 } SaveDefaultBlockParams on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 Object { $PropName "BdWindowsInfo" $ObjectID 1 $ClassName "Simulink.BDWindowsInfo" Object { $PropName "WindowsInfo" $ObjectID 2 $ClassName "Simulink.WindowInfo" IsActive [1] Location [227.0, 52.0, 1070.0, 482.0] Object { $PropName "ModelBrowserInfo" $ObjectID 3 $ClassName "Simulink.ModelBrowserInfo" Visible [0] DockPosition "Left" Width [50] Height [50] Filter [8] } Object { $PropName "ExplorerBarInfo" $ObjectID 4 $ClassName "Simulink.ExplorerBarInfo" Visible [0] } Object { $PropName "EditorsInfo" $ObjectID 5 $ClassName "Simulink.EditorInfo" IsActive [1] ViewObjType "SimulinkSubsys" LoadSaveID "1" Extents [1020.0, 338.0] ZoomFactor [1.0] Offset [346.53333333333353, 50.166666666666288] } } } Created "Tue Jun 5 14:42:56 2007" Creator "oberg" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "xavll26" ModifiedDateFormat "%" LastModifiedDate "Fri Oct 31 13:44:00 2014" RTWModifiedTimeStamp 336663826 ModelVersionFormat "1.%" ConfigurationManager "none" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" PauseTimes "5" NumberOfSteps 1 SnapshotBufferSize 10 SnapshotInterval 10 NumberOfLastSnapshots 0 LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 6 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "OrdinaryVehicle" Array { Type "Cell" Dimension 1 Cell "OrdinaryVehicle" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell "" PropName "logAsSpecifiedByModelsSSIDs_" } } RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on CovEnableCumulative on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock off BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 7 Version "1.13.1" Array { Type "Handle" Dimension 8 Simulink.SolverCC { $ObjectID 8 Version "1.13.1" StartTime "0.0" StopTime "1220" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" EnableConcurrentExecution off ConcurrentTasks off Solver "FixedStepDiscrete" SolverName "FixedStepDiscrete" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 9 Version "1.13.1" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 10 Version "1.13.1" Array { Type "Cell" Dimension 4 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "NoFixptDivByZeroProtection" Cell "OptimizeModelRefInitCode" PropName "DisabledProps" } BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off UseIntDivNetSlope off UseFloatMulNetSlope off DefaultUnderspecifiedDataType "double" UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 2147483647 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off ActiveStateOutputEnumStorageType "Native Integer" UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off ParallelExecutionInRapidAccelerator on } Simulink.DebuggingCC { $ObjectID 11 Version "1.13.1" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "none" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "warning" MultiTaskCondExecSysMsg "none" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "UseLocalSettings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "warning" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "Warning" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" LoggingUnavailableSignals "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnconditionalTransitionShadowingDiag "warning" SFUndirectedBroadcastEventsDiag "warning" SFTransitionActionBeforeConditionDiag "warning" } Simulink.HardwareCC { $ObjectID 12 Version "1.13.1" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerLongLong 64 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdLongLongMode off ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerLongLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetLongLongMode off TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 13 Version "1.13.1" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 14 Version "1.13.1" SFSimEnableDebug on SFSimOverflowDetection on SFSimEcho on SimBlas on SimCtrlC on SimExtrinsic on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" SimGenImportedTypeDefs off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 15 Version "1.13.1" Array { Type "Cell" Dimension 1 Cell "IncludeHyperlinkInReport" PropName "DisabledProps" } SystemTargetFile "grt.tlc" TLCOptions "" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on PackageGeneratedCodeAndArtifacts off PackageName "" TemplateMakefile "grt_default_tmf" PostCodeGenCommand "" Description "" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ProcessScript "" ConfigurationScript "" ConfigAtBuild off RTWUseLocalCustomCode off RTWUseSimCustomCode off CustomSourceCode "" CustomHeaderCode "" CustomInclude "" CustomSource "" CustomLibrary "" CustomInitializer "" CustomTerminator "" Toolchain "Automatically locate an installed toolchain" BuildConfiguration "Faster Builds" IncludeHyperlinkInReport off LaunchReport off PortableWordSizes off GenerateErtSFunction off CreateSILPILBlock "None" CodeExecutionProfiling off CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" CodeProfilingInstrumentation off SILDebugging off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime on GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off RTWCompilerOptimization "Off" RTWCustomCompilerOptimizations "" CheckMdlBeforeBuild "Off" CustomRebuildMode "OnUpdate" DataInitializer "" SharedConstantsCachingThreshold 1024 Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 16 Version "1.13.1" Array { Type "Cell" Dimension 16 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" PropName "DisabledProps" } ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off OperatorAnnotations off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" CustomSymbolStrUtil "$N$C" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InternalIdentifier "Shortened" InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 17 Version "1.13.1" Array { Type "Cell" Dimension 12 Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "SupportNonInlinedSFcns" Cell "PurelyIntegerCode" Cell "GenerateAllocFcn" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" PropName "DisabledProps" } TargetFcnLib "ansi_tfl_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" CodeReplacementLibrary "ANSI_C" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off EnableShiftOperators on ParenthesesLevel "Nominal" MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant off AutosarCompliant off GRTInterface on GenerateAllocFcn off UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 420, 280, 1500, 920 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 7 } Object { $PropName "DataTransfer" $ObjectID 18 $ClassName "Simulink.GlobalDataTransfer" DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" DefaultExtrapolationMethodBetweenContTasks "None" AutoInsertRateTranBlk [0] } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Courier" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Courier" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Courier" FontSize 9 FontWeight "normal" FontAngle "normal" } MaskDefaults { SelfModifiable "off" IconFrame "on" IconOpaque "on" RunInitForIconRedraw "off" IconRotate "none" PortRotate "default" IconUnits "autoscale" } MaskParameterDefaults { Evaluate "on" Tunable "on" NeverSave "off" Internal "off" ReadOnly "off" Enabled "on" Visible "on" ToolTip "on" } BlockParameterDefaults { Block { BlockType Abs ZeroCross on SampleTime "-1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType Display Format "short" Decimation "10" Floating off Lockdown off SampleTime "-1" } Block { BlockType Fcn Expr "sin(u[1])" SampleTime "-1" } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType Lookup InputValues "[-4:5]" Table " rand(1,10)-0.5" LookUpMeth "Interpolation-Extrapolation" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" LUTDesignTableMode "Redesign Table" LUTDesignDataSource "Block Dialog" LUTDesignFunctionName "sqrt(x)" LUTDesignUseExistingBP on LUTDesignRelError "0.01" LUTDesignAbsError "1e-6" } Block { BlockType Lookup2D RowIndex "[0 1]" ColumnIndex "[0 1]" Table "[0 0;0 0]" LookUpMeth "Interpolation-Extrapolation" InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" LUTDesignTableMode "Redesign Table" LUTDesignDataSource "Block Dialog" LUTDesignFunctionName "sqrt(x)" LUTDesignUseExistingBP on LUTDesignRelError "0.01" LUTDesignAbsError "1e-6" } Block { BlockType Mux Inputs "4" DisplayOption "none" UseBusObject off BusObject "BusObject" NonVirtualBus off } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Saturate UpperLimitSource "Dialog" UpperLimit "0.5" LowerLimitSource "Dialog" LowerLimit "-0.5" LinearizeAsGain on ZeroCross on SampleTime "-1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" DataFormat "Array" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType Stop } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" FunctionInterfaceSpec "void_void" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" SFBlockType "NONE" Variant off GeneratePreprocessorConditionals off ContentPreviewEnabled off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Switch Criteria "u2 >= Threshold" Threshold "0" InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit via internal rule" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on ZeroCross on SampleTime "-1" AllowDiffInputSizes off } Block { BlockType ToWorkspace VariableName "simulink_output" MaxDataPoints "1000" Decimation "1" SampleTime "0" SaveFormat "Array" FixptAsFi off NumInputs "1" } } System { Name "OrdinaryVehicle" Location [227, 52, 1297, 534] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "6" Block { BlockType SubSystem Name "Combustion Engine\n(consumption map)" SID "1" Tag "combustion engine" Ports [3, 1] Position [570, 23, 665, 107] ZOrder -1 BackgroundColor "red" AncestorBlock "qss_tb_library/Energy Converter/Combustion Engine\n(consumption map)" LibraryVersion "*" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off Object { $PropName "MaskObject" $ObjectID 19 $ClassName "Simulink.Mask" Type "Combustion Engine (based on consumption map)" Description "This block simulates the behaviour of a combustion engine. The block is based on a consumption map.\n\n" "Input:\n=====\n w_gear Speed of the fly wheel [rad/s]\n dw_gear Acceleration of the fly wheel [rad/s^2]\n T_gear To" "rque on the fly wheel [Nm]\n\nOutput:\n======\n P_CE Power produced by the combustion engine [W]\n\n" Initialization "init_CE_map;" IconOpaque "off" Array { Type "Simulink.MaskParameter" Dimension 11 Object { $ObjectID 20 Type "popup" Array { Type "Cell" Dimension 2 Cell "Otto" Cell "Diesel" PropName "TypeOptions" } Name "engine_type" Prompt "Engine type" Value "Otto" } Object { $ObjectID 21 Type "edit" Name "V_d" Prompt "Displacement [l]" Value "2.54" } Object { $ObjectID 22 Type "edit" Name "scale_CE" Prompt "Engine scaling factor [-]" Value "2.54/0.708" } Object { $ObjectID 23 Type "edit" Name "theta_CE" Prompt "Engine inertia [kg*m^2]" Value "0.05" } Object { $ObjectID 24 Type "edit" Name "w_CE_idle" Prompt "Engine speed at idle [rad/s]" Value "105" } Object { $ObjectID 25 Type "edit" Name "P_CE_idle" Prompt "Engine power at idle [W]" Value "2600" } Object { $ObjectID 26 Type "edit" Name "P_aux" Prompt "Power required by auxiliaries [W]" Value "300" } Object { $ObjectID 27 Type "checkbox" Name "ENABLE_FUEL_CUTOFF" Prompt "Enable fuel cutoff" Value "on" } Object { $ObjectID 28 Type "edit" Name "T_CE_cutoff" Prompt "Engine torque at fuel cutoff [Nm]" Value "-5" } Object { $ObjectID 29 Type "edit" Name "P_CE_cutoff" Prompt "Power at fuel cutoff [W]" Value "0" } Object { $ObjectID 30 Type "edit" Name "switchOff" Prompt "Enable switch off (1=possible to switch off engine, 0=not possible)" Value "0" } PropName "Parameters" } } System { Name "Combustion Engine\n(consumption map)" Location [227, 52, 1297, 534] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" SIDHighWatermark "66" Block { BlockType Inport Name "w_gear" SID "1:1" Position [35, 85, 55, 105] ZOrder -1 BackgroundColor "green" IconDisplay "Port number" } Block { BlockType Inport Name "dw_gear" SID "1:2" Position [35, 230, 55, 250] ZOrder -2 BackgroundColor "green" Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "T_gear" SID "1:3" Position [35, 175, 55, 195] ZOrder -3 BackgroundColor "green" Port "3" IconDisplay "Port number" } Block { BlockType Constant Name "Constant1" SID "1:4" Position [595, 274, 665, 296] ZOrder -4 BackgroundColor "cyan" ShowName off Value "P_aux" } Block { BlockType SubSystem Name "Detect fuel cutoff" SID "1:5" Ports [2, 1] Position [870, 284, 945, 431] ZOrder -5 MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Detect fuel cutoff" Location [141, 298, 863, 980] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "P_CE_fuel" SID "1:6" Position [45, 53, 75, 67] ZOrder -1 BackgroundColor "green" IconDisplay "Port number" } Block { BlockType Inport Name "T_CE" SID "1:7" Position [45, 123, 75, 137] ZOrder -2 BackgroundColor "green" Port "2" IconDisplay "Port number" } Block { BlockType Constant Name "Constant1" SID "1:8" Position [180, 194, 365, 216] ZOrder -3 BackgroundColor "cyan" ShowName off Value "ENABLE_FUEL_CUTOFF" } Block { BlockType Constant Name "Constant3" SID "1:9" Position [25, 189, 95, 211] ZOrder -4 BackgroundColor "cyan" ShowName off Value "P_CE_cutoff" } Block { BlockType Switch Name "Decision" SID "1:10" Position [415, 95, 440, 315] ZOrder -5 ShowName off Threshold "0.5" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType Switch Name "Detect fuel cutoff" SID "1:11" Position [125, 25, 150, 235] ZOrder -6 ShowName off Threshold "T_CE_cutoff + 0.01" } Block { BlockType Outport Name "P_CE" SID "1:12" Position [490, 198, 520, 212] ZOrder -7 BackgroundColor "red" IconDisplay "Port number" } Line { ZOrder 1 SrcBlock "Constant3" SrcPort 1 DstBlock "Detect fuel cutoff" DstPort 3 } Line { ZOrder 2 SrcBlock "T_CE" SrcPort 1 DstBlock "Detect fuel cutoff" DstPort 2 } Line { ZOrder 3 SrcBlock "P_CE_fuel" SrcPort 1 Points [15, 0] Branch { ZOrder 4 DstBlock "Detect fuel cutoff" DstPort 1 } Branch { ZOrder 5 Points [0, -50; 295, 0; 0, 270] DstBlock "Decision" DstPort 3 } } Line { ZOrder 6 SrcBlock "Detect fuel cutoff" SrcPort 1 DstBlock "Decision" DstPort 1 } Line { ZOrder 7 SrcBlock "Constant1" SrcPort 1 DstBlock "Decision" DstPort 2 } Line { ZOrder 8 SrcBlock "Decision" SrcPort 1 DstBlock "P_CE" DstPort 1 } } } Block { BlockType SubSystem Name "Detect fuel cutoff1" SID "1:13" Ports [2, 1] Position [990, 233, 1065, 402] ZOrder -6 MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Detect fuel cutoff1" Location [425, 52, 899, 527] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "w_CE" SID "1:14" Position [45, 123, 75, 137] ZOrder -1 BackgroundColor "green" IconDisplay "Port number" } Block { BlockType Inport Name "P_CE_fuel" SID "1:15" Position [50, 53, 80, 67] ZOrder -2 BackgroundColor "green" Port "2" IconDisplay "Port number" } Block { BlockType Constant Name "Constant1" SID "1:16" Position [175, 144, 245, 166] ZOrder -3 BackgroundColor "cyan" ShowName off Value "switchOff" } Block { BlockType Constant Name "Constant3" SID "1:17" Position [15, 189, 85, 211] ZOrder -4 BackgroundColor "cyan" ShowName off Value "0" } Block { BlockType Switch Name "Detect fuel cutoff" SID "1:18" Position [125, 25, 150, 235] ZOrder -5 ShowName off Criteria "u2 ~= 0" Threshold "T_CE_cutoff + 0.01" } Block { BlockType Switch Name "Detect fuel cutoff1" SID "1:19" Position [285, 49, 305, 261] ZOrder -6 ShowName off Criteria "u2 > Threshold" Threshold "0.5" } Block { BlockType Outport Name "P_CE" SID "1:20" Position [355, 148, 385, 162] ZOrder -7 BackgroundColor "red" IconDisplay "Port number" } Line { ZOrder 1 SrcBlock "Detect fuel cutoff1" SrcPort 1 DstBlock "P_CE" DstPort 1 } Line { ZOrder 2 SrcBlock "Detect fuel cutoff" SrcPort 1 Points [55, 0; 0, -45] DstBlock "Detect fuel cutoff1" DstPort 1 } Line { ZOrder 3 SrcBlock "Constant1" SrcPort 1 DstBlock "Detect fuel cutoff1" DstPort 2 } Line { ZOrder 4 SrcBlock "P_CE_fuel" SrcPort 1 Points [10, 0] Branch { ZOrder 5 DstBlock "Detect fuel cutoff" DstPort 1 } Branch { ZOrder 6 Points [0, 165] DstBlock "Detect fuel cutoff1" DstPort 3 } } Line { ZOrder 7 SrcBlock "w_CE" SrcPort 1 DstBlock "Detect fuel cutoff" DstPort 2 } Line { ZOrder 8 Labels [0, 0] SrcBlock "Constant3" SrcPort 1 DstBlock "Detect fuel cutoff" DstPort 3 } } } Block { BlockType SubSystem Name "Detect idle" SID "1:21" Ports [3, 1] Position [760, 269, 835, 371] ZOrder -7 MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Detect idle" Location [367, 71, 1048, 616] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "P_CE_fuel" SID "1:22" Position [15, 173, 45, 187] ZOrder -1 BackgroundColor "green" IconDisplay "Port number" } Block { BlockType Inport Name "w_CE" SID "1:23" Position [15, 88, 45, 102] ZOrder -2 BackgroundColor "green" Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "T_CE" SID "1:24" Position [15, 123, 45, 137] ZOrder -3 BackgroundColor "green" Port "3" IconDisplay "Port number" } Block { BlockType Constant Name "Constant3" SID "1:25" Position [15, 41, 85, 59] ZOrder -4 BackgroundColor "cyan" ShowName off Value "P_CE_idle" } Block { BlockType Fcn Name "Idle detection" SID "1:26" Position [150, 99, 400, 131] ZOrder -5 BackgroundColor "yellow" Expr "(u(1) <= w_CE_idle) * (u(2) <= T_CE_idle)" } Block { BlockType Mux Name "Mux" SID "1:27" Ports [2, 1] Position [110, 75, 120, 150] ZOrder -6 ShowName off Inputs "2" DisplayOption "bar" } Block { BlockType Switch Name "Switch2" SID "1:28" Position [430, 17, 455, 213] ZOrder -7 ShowName off Threshold "0.5" } Block { BlockType Outport Name "P_CE" SID "1:29" Position [490, 108, 520, 122] ZOrder -8 BackgroundColor "red" IconDisplay "Port number" InitialOutput "0" } Line { ZOrder 1 SrcBlock "Mux" SrcPort 1 DstBlock "Idle detection" DstPort 1 } Line { ZOrder 2 SrcBlock "T_CE" SrcPort 1 DstBlock "Mux" DstPort 2 } Line { ZOrder 3 SrcBlock "w_CE" SrcPort 1 DstBlock "Mux" DstPort 1 } Line { ZOrder 4 SrcBlock "Idle detection" SrcPort 1 DstBlock "Switch2" DstPort 2 } Line { ZOrder 5 SrcBlock "P_CE_fuel" SrcPort 1 DstBlock "Switch2" DstPort 3 } Line { ZOrder 6 SrcBlock "Switch2" SrcPort 1 DstBlock "P_CE" DstPort 1 } Line { ZOrder 7 SrcBlock "Constant3" SrcPort 1 DstBlock "Switch2" DstPort 1 } } } Block { BlockType SubSystem Name "Detect idle1" SID "1:30" Ports [2, 1] Position [260, 23, 340, 67] ZOrder -8 MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Detect idle1" Location [120, 213, 801, 758] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "w_CE" SID "1:31" Position [75, 108, 105, 122] ZOrder -1 BackgroundColor "green" IconDisplay "Port number" } Block { BlockType Inport Name "w_CE_limited" SID "1:32" Position [200, 173, 230, 187] ZOrder -2 BackgroundColor "green" Port "2" IconDisplay "Port number" } Block { BlockType Constant Name "Constant1" SID "1:33" Position [290, 41, 360, 59] ZOrder -3 BackgroundColor "cyan" ShowName off Value "0" } Block { BlockType Fcn Name "Idle detection" SID "1:34" Position [150, 99, 400, 131] ZOrder -4 BackgroundColor "yellow" Expr "(u(1) == 0)*(switchOff==1)" } Block { BlockType Switch Name "Switch2" SID "1:35" Position [430, 17, 455, 213] ZOrder -5 ShowName off Threshold "0.5" } Block { BlockType Outport Name "P_CE" SID "1:36" Position [490, 108, 520, 122] ZOrder -6 BackgroundColor "red" IconDisplay "Port number" InitialOutput "0" } Line { ZOrder 1 SrcBlock "w_CE_limited" SrcPort 1 DstBlock "Switch2" DstPort 3 } Line { ZOrder 2 SrcBlock "Constant1" SrcPort 1 DstBlock "Switch2" DstPort 1 } Line { ZOrder 3 SrcBlock "Switch2" SrcPort 1 DstBlock "P_CE" DstPort 1 } Line { ZOrder 4 SrcBlock "Idle detection" SrcPort 1 DstBlock "Switch2" DstPort 2 } Line { ZOrder 5 SrcBlock "w_CE" SrcPort 1 DstBlock "Idle detection" DstPort 1 } } } Block { BlockType SubSystem Name "Detect overload\nand overspeed" SID "1:37" Ports [2] Position [470, 18, 535, 87] ZOrder -9 BackgroundColor "orange" MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Detect overload\nand overspeed" Location [380, 52, 954, 549] Open off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" Block { BlockType Inport Name "w_CE" SID "1:38" Position [15, 38, 45, 52] ZOrder -1 BackgroundColor "green" IconDisplay "Port number" } Block { BlockType Inport Name "T_CE" SID "1:39" Position [15, 98, 45, 112] ZOrder -2 BackgroundColor "green" Port "2" IconDisplay "Port number" } Block { BlockType Abs Name "Abs" SID "1:40" Position [175, 90, 205, 120] ZOrder -3 BackgroundColor "yellow" ShowName off } Block { BlockType Constant Name "Constant" SID "1:41" Position [20, 179, 100, 201] ZOrder -4 BackgroundColor "cyan" ShowName off Value "w_CE_upper" } Block { BlockType Lookup Name "Maximum torque" SID "1:42" Position [160, 27, 220, 63] ZOrder -5 InputValues "w_CE_row" Table "T_CE_max" } Block { BlockType Saturate Name "Saturation" SID "1:43" Ports [1, 1] Position [295, 60, 325, 90] ZOrder -6 ShowName off InputPortMap "u0" UpperLimit "0" LowerLimit "-inf" } Block { BlockType Saturate Name "Saturation1" SID "1:44" Ports [1, 1] Position [295, 205, 325, 235] ZOrder -7 ShowName off InputPortMap "u0" UpperLimit "0" LowerLimit "-inf" } Block { BlockType Scope Name "Scope1" SID "1:45" Ports [1] Position [295, 14, 325, 46] ZOrder -8 Floating off Location [188, 390, 512, 629] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off SaveName "ScopeData30" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope2" SID "1:46" Ports [1] Position [375, 14, 405, 46] ZOrder -9 Floating off Location [188, 390, 512, 629] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off SaveName "ScopeData29" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope3" SID "1:47" Ports [1] Position [140, 129, 170, 161] ZOrder -10 Floating off Location [188, 390, 512, 629] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off SaveName "ScopeData31" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Stop Name "Stop Simulation" SID "1:48" Position [365, 57, 400, 93] ZOrder -11 BackgroundColor "[0.900000, 0.214468, 0.234003]" ShowName off } Block { BlockType Stop Name "Stop Simulation1" SID "1:49" Position [365, 202, 400, 238] ZOrder -12 BackgroundColor "[0.900000, 0.214468, 0.234003]" ShowName off } Block { BlockType Sum Name "Sum" SID "1:50" Ports [2, 1] Position [250, 13, 265, 137] ZOrder -13 ShowName off Inputs "+-" } Block { BlockType Sum Name "Sum1" SID "1:51" Ports [2, 1] Position [250, 158, 265, 282] ZOrder -14 ShowName off Inputs "+-" } Line { ZOrder 1 SrcBlock "Sum" SrcPort 1 DstBlock "Saturation" DstPort 1 } Line { ZOrder 2 SrcBlock "w_CE" SrcPort 1 Points [35, 0] Branch { ZOrder 3 Points [0, -5; 5, 0; 0, 105] DstBlock "Scope3" DstPort 1 } Branch { ZOrder 4 Points [35, 0] Branch { ZOrder 5 DstBlock "Maximum torque" DstPort 1 } Branch { ZOrder 6 Points [0, 205] DstBlock "Sum1" DstPort 2 } } } Line { ZOrder 7 SrcBlock "T_CE" SrcPort 1 DstBlock "Abs" DstPort 1 } Line { ZOrder 8 SrcBlock "Maximum torque" SrcPort 1 Points [5, 0] Branch { ZOrder 9 Points [-5, 0; 0, -15] DstBlock "Scope1" DstPort 1 } Branch { ZOrder 10 DstBlock "Sum" DstPort 1 } } Line { ZOrder 11 SrcBlock "Abs" SrcPort 1 DstBlock "Sum" DstPort 2 } Line { ZOrder 12 SrcBlock "Saturation" SrcPort 1 Points [10, 0] Branch { ZOrder 13 Points [0, -45] DstBlock "Scope2" DstPort 1 } Branch { ZOrder 14 DstBlock "Stop Simulation" DstPort 1 } } Line { ZOrder 15 SrcBlock "Constant" SrcPort 1 DstBlock "Sum1" DstPort 1 } Line { ZOrder 16 SrcBlock "Sum1" SrcPort 1 DstBlock "Saturation1" DstPort 1 } Line { ZOrder 17 SrcBlock "Saturation1" SrcPort 1 DstBlock "Stop Simulation1" DstPort 1 } } } Block { BlockType Lookup2D Name "Engine consumption map\nV = f(w, T)\n[kg/s]" SID "1:52" Position [455, 138, 545, 242] ZOrder -10 RowIndex "w_CE_row" ColumnIndex "T_CE_col" Table "V_CE_map" InputSameDT off SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Engine inertia" SID "1:53" Position [90, 220, 180, 260] ZOrder -11 BackgroundColor "yellow" Gain "theta_CE" } Block { BlockType Gain Name "Fuel lower\nheating value" SID "1:54" Position [575, 164, 645, 216] ZOrder -12 BackgroundColor "yellow" Gain "H_u" } Block { BlockType Saturate Name "Lower limit\n(fuel cutoff)" SID "1:55" Ports [1, 1] Position [260, 200, 290, 230] ZOrder -13 InputPortMap "u0" UpperLimit "inf" LowerLimit "T_CE_cutoff" } Block { BlockType Saturate Name "Lower limit\n(speed at idle)" SID "1:56" Ports [1, 1] Position [195, 80, 225, 110] ZOrder -14 InputPortMap "u0" UpperLimit "inf" LowerLimit "w_CE_idle" } Block { BlockType Scope Name "Scope1" SID "1:57" Ports [1] Position [285, 149, 315, 181] ZOrder -15 Floating off Location [188, 390, 512, 629] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off SaveName "ScopeData26" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope2" SID "1:58" Ports [1] Position [305, 279, 335, 311] ZOrder -16 Floating off Location [230, 537, 554, 776] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off SaveName "ScopeData27" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope3" SID "1:59" Ports [1] Position [355, 179, 385, 211] ZOrder -17 Floating off Location [188, 390, 512, 629] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off SaveName "ScopeData28" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope5" SID "1:60" Ports [1] Position [285, 109, 315, 141] ZOrder -18 Floating off Location [188, 390, 512, 629] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } ShowLegends off SaveName "ScopeData25" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType ToWorkspace Name "To Workspace1" SID "1:61" Ports [1] Position [360, 35, 400, 55] ZOrder -19 BackgroundColor "magenta" ShowName off VariableName "w_CE" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "To Workspace3" SID "1:62" Ports [1] Position [350, 225, 390, 245] ZOrder -20 BackgroundColor "magenta" NamePlacement "alternate" ShowName off VariableName "T_CE" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "To Workspace5" SID "1:63" Ports [1] Position [1115, 360, 1155, 380] ZOrder -21 BackgroundColor "magenta" NamePlacement "alternate" ShowName off VariableName "P_CE" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType Sum Name "Total power" SID "1:64" Ports [2, 1] Position [695, 234, 710, 301] ZOrder -22 } Block { BlockType Sum Name "Total torque" SID "1:65" Ports [2, 1] Position [215, 159, 230, 266] ZOrder -23 } Block { BlockType Outport Name "P_CE" SID "1:66" Position [1120, 310, 1140, 330] ZOrder -24 BackgroundColor "red" IconDisplay "Port number" InitialOutput "0" } Line { ZOrder 1 SrcBlock "Detect idle1" SrcPort 1 DstBlock "To Workspace1" DstPort 1 } Line { ZOrder 2 SrcBlock "Detect fuel cutoff" SrcPort 1 DstBlock "Detect fuel cutoff1" DstPort 2 } Line { ZOrder 4 SrcBlock "T_gear" SrcPort 1 Points [120, 0] Branch { ZOrder 5 Points [0, -20] DstBlock "Scope1" DstPort 1 } Branch { ZOrder 6 DstBlock "Total torque" DstPort 1 } } Line { ZOrder 7 SrcBlock "dw_gear" SrcPort 1 DstBlock "Engine inertia" DstPort 1 } Line { ZOrder 8 SrcBlock "Total torque" SrcPort 1 Points [5, 0] Branch { ZOrder 9 Points [0, 80] DstBlock "Scope2" DstPort 1 } Branch { ZOrder 10 DstBlock "Lower limit\n(fuel cutoff)" DstPort 1 } } Line { ZOrder 11 SrcBlock "Engine inertia" SrcPort 1 DstBlock "Total torque" DstPort 2 } Line { ZOrder 12 SrcBlock "Lower limit\n(speed at idle)" SrcPort 1 Points [15, 0] Branch { ZOrder 13 DstBlock "Detect idle1" DstPort 2 } Branch { ZOrder 14 Points [175, 0] Branch { ZOrder 15 Points [0, -60] DstBlock "Detect overload\nand overspeed" DstPort 1 } Branch { ZOrder 16 Points [0, 70] Branch { ZOrder 17 Points [0, 155] DstBlock "Detect idle" DstPort 2 } Branch { ZOrder 18 DstBlock "Engine consumption map\nV = f(w, T)\n[kg/s]" DstPort 1 } } } } Line { ZOrder 19 SrcBlock "w_gear" SrcPort 1 Points [90, 0] Branch { ZOrder 20 Points [0, 30] DstBlock "Scope5" DstPort 1 } Branch { ZOrder 21 Points [0, -85; 95, 0] Branch { ZOrder 22 DstBlock "Detect idle1" DstPort 1 } Branch { ZOrder 23 Points [685, 0; 0, 265] DstBlock "Detect fuel cutoff1" DstPort 1 } } Branch { ZOrder 24 DstBlock "Lower limit\n(speed at idle)" DstPort 1 } } Line { FontName "Courier" FontSize 9 FontWeight "normal" FontAngle "normal" ZOrder 26 SrcBlock "Detect idle" SrcPort 1 DstBlock "Detect fuel cutoff" DstPort 1 } Line { ZOrder 27 SrcBlock "Engine consumption map\nV = f(w, T)\n[kg/s]" SrcPort 1 DstBlock "Fuel lower\nheating value" DstPort 1 } Line { ZOrder 31 SrcBlock "Constant1" SrcPort 1 DstBlock "Total power" DstPort 2 } Line { ZOrder 32 SrcBlock "Lower limit\n(fuel cutoff)" SrcPort 1 Points [35, 0] Branch { ZOrder 33 Points [0, 20] DstBlock "To Workspace3" DstPort 1 } Branch { ZOrder 34 Points [0, -20] DstBlock "Scope3" DstPort 1 } Branch { ZOrder 36 Points [75, 0] Branch { ZOrder 37 Points [0, -145] DstBlock "Detect overload\nand overspeed" DstPort 2 } Branch { ZOrder 38 DstBlock "Engine consumption map\nV = f(w, T)\n[kg/s]" DstPort 2 } Branch { ZOrder 39 Points [0, 140] Branch { ZOrder 48 Points [0, 113; 272, 0; 0, -73] DstBlock "Detect fuel cutoff" DstPort 2 } Branch { ZOrder 45 DstBlock "Detect idle" DstPort 3 } } } } Line { ZOrder 42 SrcBlock "Detect fuel cutoff1" SrcPort 1 Points [13, 0] Branch { ZOrder 44 Points [0, 50] DstBlock "To Workspace5" DstPort 1 } Branch { ZOrder 43 DstBlock "P_CE" DstPort 1 } } Line { ZOrder 50 SrcBlock "Total power" SrcPort 1 Points [20, 0; 0, 15] DstBlock "Detect idle" DstPort 1 } Line { ZOrder 51 SrcBlock "Fuel lower\nheating value" SrcPort 1 Points [20, 0; 0, 60] DstBlock "Total power" DstPort 1 } } } Block { BlockType Display Name "Display" SID "2" Ports [1] Position [875, 103, 960, 137] ZOrder -2 BackgroundColor "cyan" Decimation "1" } Block { BlockType Reference Name "Driving Cycle" SID "3" Tag "cycle" Ports [0, 4] Position [50, 112, 140, 198] ZOrder -3 BackgroundColor "green" LibraryVersion "1.160" SourceBlock "qss_tb_library/Driving Cycle/Driving Cycle" SourceType "Driving Cycle" ContentPreviewEnabled off cyclenr "Europe: NEDC manual" stepsize "1" autostop on } Block { BlockType Reference Name "Manual Gear Box" SID "4" Tag "transmission" Ports [4, 3] Position [440, 20, 540, 110] ZOrder -4 BackgroundColor "darkGreen" LibraryVersion "1.160" SourceBlock "qss_tb_library/Gear System/Manual Gear Box" SourceType "Manual Gear Box" ContentPreviewEnabled off i_1 "13.0529" i_2 "8.1595" i_3 "5.6651" i_4 "4.2555" i_5 "3.2623" i_diff "1" e_GT "0.98" P_GT0 "300" w_wheel_min "1" } Block { BlockType Reference Name "Tank" SID "5" Tag "tank" Ports [2, 1] Position [720, 91, 830, 144] ZOrder -5 BackgroundColor "cyan" LibraryVersion "1.160" SourceBlock "qss_tb_library/Energy Source/Tank" SourceType "Tank" ContentPreviewEnabled off fuel "Gasoline" flag_cold_start off } Block { BlockType Reference Name "Vehicle" SID "6" Tag "vehicle" Ports [2, 3] Position [195, 17, 280, 103] ZOrder -6 BackgroundColor "yellow" LibraryVersion "1.160" SourceBlock "qss_tb_library/Vehicle/Vehicle" SourceType "Vehicle" ContentPreviewEnabled off m_f "1400" mt2m_f "8" A_f "1.9" d_wheel "0.6" cw "0.3" mu "0.01" } Line { ZOrder 1 SrcBlock "Tank" SrcPort 1 DstBlock "Display" DstPort 1 } Line { ZOrder 2 SrcBlock "Combustion Engine\n(consumption map)" SrcPort 1 Points [35, 0] DstBlock "Tank" DstPort 1 } Line { ZOrder 3 SrcBlock "Driving Cycle" SrcPort 4 Points [560, 0] DstBlock "Tank" DstPort 2 } Line { ZOrder 4 SrcBlock "Manual Gear Box" SrcPort 1 DstBlock "Combustion Engine\n(consumption map)" DstPort 1 } Line { ZOrder 5 SrcBlock "Manual Gear Box" SrcPort 2 DstBlock "Combustion Engine\n(consumption map)" DstPort 2 } Line { ZOrder 6 SrcBlock "Manual Gear Box" SrcPort 3 DstBlock "Combustion Engine\n(consumption map)" DstPort 3 } Line { ZOrder 7 SrcBlock "Driving Cycle" SrcPort 1 Points [15, 0; 0, -85] DstBlock "Vehicle" DstPort 1 } Line { ZOrder 8 SrcBlock "Driving Cycle" SrcPort 2 Points [30, 0; 0, -60] DstBlock "Vehicle" DstPort 2 } Line { ZOrder 9 SrcBlock "Driving Cycle" SrcPort 3 Points [280, 0] DstBlock "Manual Gear Box" DstPort 4 } Line { ZOrder 10 SrcBlock "Vehicle" SrcPort 1 Points [75, 0; 0, 5] DstBlock "Manual Gear Box" DstPort 1 } Line { ZOrder 11 SrcBlock "Vehicle" SrcPort 2 Points [60, 0; 0, -5] DstBlock "Manual Gear Box" DstPort 2 } Line { ZOrder 12 SrcBlock "Vehicle" SrcPort 3 Points [90, 0; 0, -15] DstBlock "Manual Gear Box" DstPort 3 } } }